summaryrefslogtreecommitdiff
path: root/z180
diff options
context:
space:
mode:
authorLeo C2014-07-27 22:55:50 +0200
committerLeo C2014-07-27 22:55:50 +0200
commit4caee1ec599c6d78f5234b218e2ccf5e23eb4bf0 (patch)
treecedef54314ff793a14a1e369d774e349f9fae846 /z180
parenteded7ec457ef999586c66b15ada5948bddcc735c (diff)
downloadz180-stamp-4caee1ec599c6d78f5234b218e2ccf5e23eb4bf0.zip
Disable msg- i/o-fifos for test with avr
Diffstat (limited to 'z180')
-rw-r--r--z180/Tupfile5
-rw-r--r--z180/console.18016
-rw-r--r--z180/ddtz.1802
-rw-r--r--z180/r3init.18021
-rw-r--r--z180/ser1-i.18010
5 files changed, 31 insertions, 23 deletions
diff --git a/z180/Tupfile b/z180/Tupfile
index b79d098..ebdb1d1 100644
--- a/z180/Tupfile
+++ b/z180/Tupfile
@@ -4,7 +4,8 @@ PROG = hdrom
SRC = r3init.180
SRC += ddtz.180
-SRC += fifoio.180 msgbuf.180 ser1-i.180 console.180
+#SRC += fifoio.180 msgbuf.180 ser1-i.180 console.180
+SRC += ser1-i.180 console.180
SRC += romend.180
@@ -14,8 +15,6 @@ LN_PROG = 0
LN_DATA = C000
-DEFS = -DSTM32F1 -DBAUD=115200
-
###############################################################################
# Executables
diff --git a/z180/console.180 b/z180/console.180
index 70169b4..d7b5ef6 100644
--- a/z180/console.180
+++ b/z180/console.180
@@ -7,9 +7,9 @@
global $co
- extrn f.init,f.in,f.out,f.i.st
extrn ser.init,ser.instat,ser.in,ser.out
- extrn msg.co
+; extrn f.init,f.in,f.out,f.i.st
+; extrn msg.co
include config.inc
@@ -20,19 +20,19 @@
;
$coninit:
- call f.init
+; call f.init
call ser.init
ret
$cists:
- call f.i.st
- ret nz
+; call f.i.st
+; ret nz
call ser.instat
ret
$ci:
- call f.i.st
- jp nz,f.in
+; call f.i.st
+; jp nz,f.in
call ser.instat
jp nz,ser.in
jr $ci
@@ -41,7 +41,7 @@ $ci:
; jp f.o.st
$co:
- call msg.co
+; call msg.co
jp ser.out
end
diff --git a/z180/ddtz.180 b/z180/ddtz.180
index b209277..77da910 100644
--- a/z180/ddtz.180
+++ b/z180/ddtz.180
@@ -6076,7 +6076,7 @@ vartab:
dseg
ddtram:
;todo:
-; The following 2 params are chageable by user.
+; The following 2 params are changeable by user.
; Should these moved to top ram?
;
ddtrst: inidat ;
diff --git a/z180/r3init.180 b/z180/r3init.180
index 8599349..7ba9a0c 100644
--- a/z180/r3init.180
+++ b/z180/r3init.180
@@ -269,7 +269,7 @@ wstart:
call prt0_init
- call bufferinit
+;;; call bufferinit
call $coninit
@@ -294,10 +294,6 @@ wstart:
;----------------------------------------------------------------------
;
- extrn msginit,msg.sout,msg_fifo
- extrn tx.buf,rx.buf
-
-
;TODO: Make a ringbuffer module.
global buf.init
@@ -310,6 +306,12 @@ buf.init:
;----------------------------------------------------------------------
+.comment *
+
+ extrn msginit,msg.sout,msg_fifo
+ extrn tx.buf,rx.buf
+
+
bufferinit:
call msginit
@@ -355,6 +357,8 @@ bufdat:
db 0
inimsg_e:
+ *
+
;
;----------------------------------------------------------------------
;
@@ -389,6 +393,7 @@ ivt_i1:
djnz ivt_i1
ret
+;----------------------------------------------------------------------
prt0_init:
ld a,i
@@ -412,6 +417,7 @@ prt0itab:
db M_TIE0+M_TDE0 ;enable timer 0 interrupt and down count.
prt0it_e:
+
;
;----------------------------------------------------------------------
;
@@ -518,6 +524,8 @@ checkcrc_alv:
pop hl ;041e
ret ;041f
+;----------------------------------------------------------------------
+
;
; alloc
;
@@ -703,7 +711,7 @@ bnk2phys:
;
; OP: ahl = (a<<12) + (d<<8) + e
;
-;out ehl: Phys. (linear) Address
+;out ahl: Phys. (linear) Address
log2phys:
@@ -786,6 +794,7 @@ jphl:
; ---------------------------------------------------------
+
iprt0:
push af
push hl
diff --git a/z180/ser1-i.180 b/z180/ser1-i.180
index 322ec40..4074a9d 100644
--- a/z180/ser1-i.180
+++ b/z180/ser1-i.180
@@ -103,16 +103,17 @@ bg.w1:
cp (hl) ; 6 while (out_idx==in_idx)
jr z,bg.wait ; 6 (/8) ;
- inc a ; 4
ld e,a ; 4
- inc e ; 4
ld d,0 ; 6
+ inc de
+ inc de
ex de,hl ; 3
add hl,de ;10
ld l,(hl) ; 6
ex de,hl ; 3
-
+
+ inc a ; 4
dec hl ; 4
and (hl) ; 6
inc hl ; 4
@@ -150,7 +151,7 @@ buf.put:
ld c,(ix+o.in_idx) ;
ld b,0
add hl,bc
- ld b,a
+ ld (hl),a
ld a,c ;
inc a
@@ -158,7 +159,6 @@ buf.put:
bp.wait:
cp (ix+o.out_idx) ;
jr z,bp.wait
- ld (hl),b
ld (ix+o.in_idx),a
di ;036f